2022年5月4日 星期三

台積電製程技術

[20230714]AI需求熱潮使CoWoS先進封裝需求增加,台積電將產能增倍,並與康寧合作TGV玻璃基板

受惠AI需求熱潮,Nvidia相關GPU銷售大增,再加上HBM3需求,對台積電投產及CoWoS(Chip on Wafer on Substrate)/CoWoS-R+先進封裝需求也增加。台積電董事長劉德音表示將擴產滿足客戶需求,估今年CoWoS產能會較去年倍增,明年將較今年再增加一倍。但疫情造成設備的材料、零組件短缺未能解決,台積電擴產是否能順利如期完成,將考驗台積電與供應商如何解決缺料問題。

CoWoS為堆疊多顆IC之一種先進封裝,訊號傳輸速度要求要快,但雜訊干擾也會較大,同時CoWoS基板尺寸也較大,封裝加熱過程中容易使基板造成熱彎曲並會影響良率,為提高良率與降低雜訊干擾,台積電已開始與康寧等廠商合作試採TGV玻璃基板之可能性,若成效良好,後續可望大量使用,明年AMD之4奈米產品將會是首家採用客戶,Nvidia也可望陸續成為TGV玻璃基板客戶。

為了HBM3 7.2 Gbps,台積電CoWoS再升級CoWoS-R+
高頻寬之高頻寬記憶體第三代(High-Bandwidth Memory generation 3,HBM3)速度高達6.4~7.2Gbps,因此台積電需要將原先CoWoS再升級成CoWoS-R+,其原理為一種新進封裝整合生產技術,先將半導體晶片(Chip)透過Chip on Wafer(CoW)之封裝製程連接至矽晶圓(Wafer),再把此CoW晶片與基板(Substrate)連結,整合而成CoW-on-Substrate。再以重新分配中介層(Redistribution Layer  Interposer,RDL  Interposer)先進封裝技術之CoWoS-R,以去掉矽中介層中的矽穿孔來達到降低整體CoWoS封裝之成本效益;接著大量導入的整合型被動元件(Integrated Passive Device,IPD)有助於電壓穩定以形成升級版本的CoWoS-R+[9][10]。 [20221118]

魔鬼藏在細節之中,3D封裝異質整合
製成的複雜度,不是長久了解其中之人,很難了解其中奧秘。因為其有太多名詞,不過核心為以3D封裝方向前進異質整合[8]。往後趨勢將會朝大數據與AI方向前進,可分析IC製造之故障原因。但是魔鬼藏於細節之中,如何盡早規畫為一大重點。[20220923]

處於全球的颱風眼中心,台積電之首要方向為何? 台積電將何去何從?
台灣之護國神山台積電為颱風眼中心,許多人都給台積電下一步棋該如何走,進行評估[7]。或許這些都是方向可能之一,但是問題仍舊只有四個字,那就是「把握當下」。台積電必須要技術持續增進,不能被英特爾、三星所追趕;持續把握當下,建立自己獨異的創新技術。對於地緣政治,非自身所能決擇,但是人才、水電、良好的投資環境都可透過自身需求來評估決定。

對於台積電多年來之努力成果,使台灣成為全球眾所矚目之對象,這表示台灣重要性不言而喻。對於美國半導體供應鏈聚集策略,台積電並非愚蠢。時勢所趨,選擇較溫馴之路,但長期而言並非最好決定。回到四字箴言「把握當下」,才是台積電首要之急。[20220915]

台積電信心爆棚,但是台灣有嗎?具有下一個系統廠台積電之策略嗎?
台積電技術論壇信心爆棚[6],信心是支撐所有事物的一切,以下是成長的實證。
如智慧型手機幾年前PMIC僅需要10幾顆,而現在必須運算大量數據,同時也要高續航力,因此PMIC需求較過去大增2~3倍,而過去RF IC可能只10多顆,現在需求高達40顆Sensors(CIS & MEMS)也是從10顆IC成長至25顆。而在智慧汽車領域,CIS也是從個位數到20顆MCU/eNVM、PMIC更分別由20顆分別最高已達50、100顆。

但是市場疑慮仍舊存在,台積電能如此有把握,無非是手中有廣大的訂單應接不暇,以至於並非如市場一樣一片哀嚎之聲。 這是台積電數十年來所累積實力,也並非一朝一夕可擊倒。台灣產業界應該思考如何利用台積電,再創造下一個台積電。目前最關鍵為系統廠,台灣至今沒有像華為、Nokia、Ericsson這樣龐大系統廠。或許是台灣市場本身不夠大,但是千里之行始於足下,還是要好好思索如何培養。[20220831]

台積電封裝技術再升級,InFO_3D大展神威,但是切記,最強也是最弱的點!

台積電有自己一套製程與封裝技術,這可能是其他廠商望塵莫及,如今積極發展在手機中整合型晶圓級扇出(InFO_3D)封裝技術[5],打造一條龍由上到下通包之製造流程,讓客戶下單時更方便,節省與不同廠商之溝通成本。

台積電,台灣的驕傲!不過提醒台灣政府當局,產業發展分布以均衡為首要目的,過度扶持某一產業,都不是上策。應該適時減少給特定廠商的優惠措施,並扶持弱勢但有發展前景公司,否則容易招敵人攻擊特定廠商,進而導致台灣無任何反擊能力。最強的點,往往也是整體做弱的點,千萬別讓敵人有機可趁![20220718]

全球晶圓代工7奈米以下戰場幾已成為台積電「一個人的武林」

台積電一個人的武林,台灣半導體的護國神山,製程技術不斷精進。台積電3奈米(N3)確定於2022年下半正式量產,整個台灣產業界做最好,也只有台積電!如何由台積電提升整個國家之產業呢?台灣的太空/量子/B5G/6G需要更多研發能量,或許台灣不可能什麼產業都想要,應該要有所取捨? 台灣真的適合太空產業嗎? 產業能量不是只靠一兩家廠商!太空產業策略,也是國防考量!不想受控於人,但是台灣有機會嗎?[20220504]

Key:

晶片成長趨勢 (必須運算大量數據,同時也要高續航力)

  • 智慧型手機:PMIC僅需要10幾顆 => 20~30顆
  • RF IC:10多顆 => 高達40顆
  • Sensors(CIS & MEMS)=>10顆IC => 25顆
  • 智慧汽車領域
    • CIS:個位=>20顆
    • MCU/eNVM、PMIC:20顆 => 50、100顆。

封裝

  • 摩爾定律延壽的先進封裝技術往3D小晶片(Chiplet)靠攏,如台積電的SoIC技術,將成為進入2奈米世代以後的關鍵
  • 台積電 3D Fabric平台、日月光集團的VIPack平台等,將持續與Samsung Electronics、Intel等分庭抗禮
  • 力成面板級封裝(PLP)
  • 除了2D先進製程微縮持續外,替摩爾定律延壽的先進封裝技術往3D小晶片(Chiplet)靠攏,如台積電的SoIC技術,將成為進入2奈米世代以後的關鍵
  • 台積電2022年技術論壇中的3D Fabric技術平台(為了提升系統級效能)包括:
    • 2.5D封裝的CoWoS-S、CoWoS-R、CoWoS-L、CoWoS-R+衍生型技術
      • 側重於高端市場,連線數量偏多
      • 針對未來HPC晶片將需要耐受高功率、奧援更高頻寬的高頻寬記憶體(如HBM3:傳輸速度上看6.4~7.2Gbps),採用有機中介層(Organic interposer)的CoWoS-R先進封裝技術,進一步拋出升級版本的CoWoS-R+(Plus),大量導入的整合型被動元件(IPD)有助於電壓穩定
    • 2.5D封裝的整合型晶圓級扇出(InFO)封裝的InFO_PoP、InFO_oS、InFO_B等
      • 針對高性價比市場,連線數量相對較少
      • 蘋果A系列手機AP外,高通(Qualcomm)、聯發科等都在鴨子划水,導入Fan-out(FO)封裝,FO_PoP將是未來手機處理器重要封裝技術之一。
    • 3D 封裝中(三大3D封裝技術),包括1. 三維晶片堆疊的系統整合晶片(SoIC)搭配CoWoS2. SoIC搭配InFO_oS,以及3.InFO_3D
      • 相較傳統3D封裝技術,SoIC的凸塊密度和傳輸成本更高,功耗更低,且能通過與CoWoS或InFO技術整合其他晶片,打造3D x 3D系統級解決方案
      • SoIC,可將不同尺寸、製程工藝及材料的小晶片組合
      • 追求輕薄短小的行動裝置晶片

製程

  • N5技術在手機和HPC應用推動下,需求持續強勁,2021年佔整體晶圓銷售金額的19%
  • N4P和N4X製程技術支援下一波的5奈米產品。相較於N5,N4P的效能提升11%,N4X為首個極高效能半導體技術X系列的技術,相較於 N5,N4X的效能提升15%。N4P預計2022下半年完成首批產品設計定案,N4X預計在2023年上半年進入試產
  • 3奈米技術按既定計畫於2022年下半開始量產,N3增強型(N3E)為N3技術的強化版,預計在N3量產後1年進行,3奈米家族將成為台積電另一具長期大量需求的製程技術。
  • 2奈米GAA則於2025年上陣:2奈米技術已經進入技術開發階段,著重於測試載具的設計與實作、光罩製作及矽試產。
  • 英特爾與台積電
    • 由於Intel 4製程需要更多時間準備,因此原本預計2022年底量產、2023年上半發布的第14代Meteor Lake英特爾正評估採用Intel 4製程的運算晶片塊,先交由台積電5奈米家族負責。
    • 此舉連帶也調整委外給台積電繪圖晶片塊訂單,由3奈米改為5奈米家族,也就是英特爾Meteor Lake採用先進封裝整合的運算晶片塊、繪圖晶片塊與連接晶片塊,有機會由台積電全數承接訂單。
Reference:
[10][20221012]小晶片(Chiplet)模式帶動國際IDM及晶圓大廠開發高階晶片異質整合技術

一、前言

小晶片模式主要有同質整合及異質整合等兩種模式,同質模式是相對原先的大晶片SoC(System on Chip)而言,小晶片是將SoC設計成兩顆至多顆晶片,再用高階晶片整合技術「黏」起來,這樣做的好處是能提高先進製程晶片良率,雖仍需額外花費晶片異質整合的費用,但相較昂貴的先進製程晶片,整體費用仍是降低的;而小晶片異質模式則是將不同類型的晶片(如邏輯晶片、記憶體晶片…等)以高階晶片整合模式進行整合,主要是因不同類型晶片不能在相同晶圓製程進行製造,是故需以高階晶片異質整合技術進行晶片整合,達到提高晶片效能目的。

自從2010年開始,在FPGA設計大廠Xilinx及Altera需求下,晶圓廠便逐漸開發小晶片整合的2.5D高階封裝技術,而在當時先進製程晶片大約開發到28奈米,當時市場對2.5D高階封裝技術需求仍非常少,然而自28奈米之後,由於先進製程的光罩費用快速上升,同時先進製程開發難度增加,導致先進製程晶片更為昂貴,加上半導體先進製程逐漸面臨摩爾定律瓶頸,故台積公司、Intel及三星電子等先進製程大廠紛紛投入開發先進封裝技術以提高其先進製程晶片之效能及附加價值,因而更加提高小晶模式的需求,參考Transparency Market Research研究報告,2020年全球小晶片市場規模為1.1億美元,預期未來2031年市場規模將達到472億美元,年複合成長率(CAGR)達40.9%;主要成長動能來自高階消費晶片及高速運算晶片HPC運算需求、伺服器晶片等高階晶片需求所致。

二、全球晶圓及IDM大廠高階晶片異質整合技術

(一)台積公司開發3DFabric異質整合平台整合前後段製程

台積公司為國際晶圓代工大廠,其營業模式不與設計業及IDM客戶競爭,故在該公司下單之客戶遍及全球,台積公司為滿足各種客戶需求,因而開發出多樣化先進封測產品線,台積公司最早從矽中介層技術CoWoS(Chip-on-Wafer-on-Substrate)切入封測領域至今已超過十餘年,而後發展成CoWoS-S STAR(Standard Architecture)的矽中介層封裝技術,可用暨有相對較成熟的型態與穩定的良率,快速幫客戶進行產品驗證並大量生產,達到節省測試載具之費用,此技術持續朝向更大的封裝面積進行研發,預期在2023年將達到4倍光罩的封裝面積。此外,台積公司亦開發CoWoS-R(RDL Interposer)技術,以去掉矽中介層中的矽穿孔來達到降低整體CoWoS封裝的成本效益,因受限RDL本身較薄導致容易斷線的特性,故其製作難度較高,整體封裝尺寸會較CoWoS-S來得小。最後台積公司在近年亦研發出CoWoS-L(LSI+RDL Interposer)的結構,意即在矽中介層中加入具主動元件的LSI(Local Silicon Interconnect)層來提升晶片設計及封裝整體的彈性。綜觀上述,在晶片功能持續增加趨勢下,客戶設計的整體晶片尺寸愈來愈大,導致各種矽中介層技術將持續朝向更大的封裝面積發展。

此外,2015年台積公司考量CoWoS大多用於高速運算晶片,故改良封裝結構而成為扇出型封裝InFO(Integrated Fan-out)製程且成本較低,並主要鎖定消費性電子晶片及相關應用產品,後續亦導入蘋果手機及蘋果手錶的應用處理器中,而後InFO又陸續發展出整合在RDL上的InFO-R及整合在高密度(其上RDL之Line/Space達0.4/0.4 um)的矽連接層之InFO-L技術。在射頻元件整合方面,由於手機通訊晶片在5G滲透率逐漸提高趨勢下,手機品牌大廠如Apple、三星、小米等亦紛紛推出自家5G手機,然而主要區別在於頻段,包含中階的Sub 6GHz手機與高階的毫米波(mmWave)手機等,而台積公司亦開發自家InFO封裝技術進行5G毫米波之射頻前端(RF FEM)布局,高頻5G毫米波甚至未來6G所需的AiP(Antenna in Package)技術,是提高毫米波晶片效能的重要關鍵。

在台積公司開發完後段CoWoS及InFO先進封裝技術後,台積公司從後段晶片整合封裝跨入前段晶片3D堆疊製程技術,企圖從前段到後段製程優化晶片效能及成本,前段晶圓技術包含晶圓廠前段3D(FE 3D)整合的SoIC(System on Integrated Chips)晶片堆疊技術,又因晶片堆疊時不同晶片是否相同大小而區分為相同晶片大小的WoW(Wafer on Wafer)堆疊及不同晶片大小的CoW(Chip on Wafer)等兩種堆疊方式,而此前段3D作出來外觀則與SoC無異,是故仍要透過後段封裝來完成整體晶片製作過程。

台積公司將其前後段晶片整合技術,歸納並發表3DFabric技術平台,而此技術平台則是為客戶提供從前段3D到後段3D的完整晶片3D整合布局,提供客戶在設計時便可依其效能及成本需求選擇自己需要的技術解決方案,此外,台積公司因具備多樣化客戶及產品屬性,加以該公司已開發先進封測技術逾十年以上,故台積公司能在晶片整合技術大幅領先全球,並為其先進製程增加極高的附加價值,加上其晶圓良率控制得宜,因而能持續保持製程領先,與其對手先進製程晶片更加拉開技術差距,SoIC技術已用於2022年AMD最新技術的3D V-Cache小晶片產品中,預期將大幅提高AMD的晶片運算效能。

(二)英特爾從2D朝向3D發展高階晶片整合技術

英特爾為全球先進製程的三家晶圓大廠之一,而在先進製程面臨摩爾定律微縮瓶頸挑戰下,使得先進製程晶片變得非常昂貴,故大廠紛紛開發先進封測技術,以減緩終端產品使用先進製程之成本壓力,同時亦能以晶片異質整合方式提高晶片效能,而英特爾與台積公司一樣,積極開發晶片整合相關技術,包括從較簡單的單一晶片封裝技術,以及多晶片水平整合的EMIB(Embedded Multi-Die Interconnect Bridge)技術,而在3D晶片堆疊方面也開發FOVEROS技術等,未來將持續開發的高密度混合鍵結(Hybrid Bonding)技術等,值得注意的是,愈高階晶片整合技術通常伴隨著晶片接點I/O數上升及其單位面積晶片接點密度提高,其晶片整合後效能亦會愈好,但晶片整合成本亦會相對較昂貴。

EMIB為英特爾較早開發的水平整合封裝技術,且英特爾積極將其EMIB技術用在自家各種FPGA產品中,例如2016年的FPGA產品Stratix 10 FPGA Family發展到2019年更多小晶片整合的Agilex產品,值得注意的是,除了晶片整合封裝技術外,英特爾也開發自家的小晶片AIB(Advanced Interface Bus)連接介面,來達成小晶片用EMIB封裝技術橫向連接的訊號橋樑。

英特爾於2019年CES上提出自家研發的Foveros 3D垂直晶片封裝技術,為CPU處理器引入3D晶片堆疊結構,而且能整合不同功能及用途的晶片,相關產品Lakefield從2019年下半年開始陸續推出。Co-EMIB則是將EMIB封裝和Foveros 3D封裝技術結合在一起,共同實現高頻寬、低功耗及提供更高的I/O密度,Co-EMIB能讓兩個或多個Foveros元件高速互連,達到甚或超越SoC性能,而後英特爾便接續發表擁有更靈活的3D堆疊技術的Foveros Omni技術,強調連接凸點間距能降至25微米,並採用晶片與晶片連結與模組化設計,提供靈活且高效能3D堆疊技術,而最新 Foveros Direct 技術則是 Foveros Omni 的補充技術,連接凸點間距將低於10 微米,且採用直接的銅對銅接合,此技術將大幅提升3D堆疊的密度,同時大幅提高晶片整合效能,此外,Foveros Omni與Foveros Direct技術預估將於 2023年後量產問世。

(三)三星積極開發各種3D晶片整合的立方體(Cube)技術

三星電子為全球前三大持續開發先進製程晶片的製造商,該公司亦積極開發高階晶片整合技術以提高晶片效能,三星電子開發先進封測技術主要分為兩種模式,分別是自己廠內開發及與專業封測廠共同研發,自己廠內開發的部份則著重在自家記憶體產品,如高頻寬記憶體(HBM ; High Bandwidth Memory)所需之3D-IC晶片堆疊技術等,此外,三星亦協同委外的專業封測廠進行技術與產能合作布局。

在消費性產品方面,三星電子除了用覆晶PoP(Package-on-package)方式進行手機應用處理器與記憶體堆疊整合外,亦積極研發較低成本的面板級扇出型封裝技術,同時導入自家手機及手錶晶片的處理器中,該項技術能降低耗電及減少晶片厚度,以利放入手機及穿戴裝置中,適合用於空間受限之電子終端產品當中。

此外,因三星電子為全球記憶體大廠,其自家高階高頻寬記憶體大多需採用3D記憶體晶片堆疊技術,用以提高記憶體頻寬及效能,是故三星電子擅於開發各種晶片3D堆疊技術,並整合出對應各種產品之立方體(Cube)堆疊技術,包括記憶體直接堆疊之X-Cube技術、與封測廠合作開發之矽中介層技術I-Cube技術、與封測廠合作開發的純RDL銅繞線重布線層之R-Cube技術、採用混合不同材料之混合載板(Hybrid substrate)進行開發的H-Cube技術等,未來將分別用於消費性產品、記憶體產品及高階伺服器產品等合適之成本及效能的應用。

三、結論


隨著晶片持續朝向先進製程發展,先進製程晶片之光罩費用愈加昂貴,晶片製程亦需更先進設備進行量產,因而大幅提高晶片成本及售價,過去看似昂貴的矽穿孔封裝技術,在晶片持續微縮使得晶片成本持續大幅提高的趨勢下,先進封裝費用占整體晶片費用的比重正逐漸下降,這也是為何在先進製程晶片中,許多設計業者願意採用小晶片分割設計模式,以提高整體晶片的生產良率,並再額外花費先進封測如2.5D/3D封裝費用進行晶片整合,以此方式降低整體晶片成本的原因,也因此國際晶圓/IDM大廠積極開發各種小晶片整合技術(如表1)。而除了高階高速運算及伺服器晶片採用小晶片設計模式外,APPLE及英特爾之高階桌上型電腦處理器晶片亦開始採用小晶片設計,並結合3D封裝方式進行晶片整合,足見因應先進製程晶片成本快速上升,同時為提高晶片效能,先進製程晶片已開始需要小晶片設計與封裝進行產品整合,以持續提高效能滿足終端客戶需求,達到成本效能最佳化效益。

[9][20221025]HBM3世代拚高速高功率 台積CoWoS-R+再推進

台積電3D Fabric平台中的CoWoS系列,針對未來HPC晶片將需要耐受高功率、奧援更高頻寬的高頻寬記憶體(如HBM3),採用有機中介層(Organic interposer)的CoWoS-R(RDL Interposer先進封裝技術,進一步拋出升級版本的CoWoS-R+(Plus),大量導入的整合型被動元件(IPD)有助於電壓穩定,供應鏈傳出,CoWoS-R+已經與國際級客戶持續洽談中。
*重新分配层(Redistribution Layer ;RDL)

熟悉先進封裝業者坦言,隨著美系手機品牌大廠傳統季節性備貨潮開始放緩,台積電3D Fabric平台中針對手機AP的InFO_PoP,產能利用率已經有所下滑

而拿下一線HPC大廠頂規晶片先進封裝訂單的CoWoS系列,2023年可能整體量能上也得配合頂級AI晶片客戶略為下調稼動率,如標準型的CoWoS-S

台積電的CoWoS-R+技術相關研究論文,在半導體先進封裝技術最高殿堂IEEE ECTC大會中,備受各界重視與青睞,同時也傳出與大咖客戶進入洽談階段,事實上,原初版本的CoWoS-R,已經正式商品化進入量產,打入美系知名CPU/GPU龍頭大廠供應體系。

熟悉先進封裝業者坦言,近期先進封裝有幾個方向,如「對外」互連已經有了如傳統電訊號,部分走向「光訊號」的趨勢,如包括晶圓代工龍頭、IDM、OSAT龍頭都有談及的共同光學封裝(CPO)。「對內」如連接HBM部分,還是以電訊號為主,隨著高頻寬記憶體持續增加傳輸速度,從HBM、HBM2、HBM2E,再到目前的HBM3,傳輸速度已經來到7.2Gbps

由於HBM3的耗電性也高於舊世代的產品,如何穩定電壓、降低功耗也愈來愈重要CoWoS相關先進封裝技術向來對於異質整合HBM與高階運算晶片頗有獨到之處,進一步導入高密度的IPD等,將可更符合新世代HPC晶片的發展趨勢。

隨著HBM3等傳輸速度上看6.4~7.2Gbps,以往的CoWoS-R原始版奧援範疇仍有限,因應而生的CoWoS-R+則將能夠完善支援更新世代的超級電腦、伺服器用AI晶片先進封裝,透過把SoC與電容之間的距離最小化,提升效率,降低功耗與訊號耗損度等。

[8][20220923]亞洲先進封測聚焦台灣 異質整合技術百花齊放
https://www.digitimes.com.tw/tech/dt/n/shwnws.asp?utm_source=DailyNews&utm_medium=Email&utm_campaign=DT_UTM&id=0000642003_JYOLJ6WE1WJRH12A5K6XF&ct=a
2022年電子產業界「上中下游」都面臨高庫存問題,不過半導體先進技術發展並未停歇。從全球半導體後段封測的產業版圖與分布來看,儘管IDM大廠都有自己的In-House封裝產能,但IDM大廠尋求專業封測代工(OSAT)廠奧援所在多有,以至於形成台灣中國東南亞等三大封測重點聚落。

觀察DIGITIMES ASC 100名單,OSAT龍頭日月光投控、晶圓代工龍頭台積電紛紛榜上有名,而台系業者更是在先進封裝能夠與英特爾(Intel)三星電子(Samsung Electronics)、長電Amkor等分庭抗禮,甚至挾帶半導體群聚效應優勢,走出自己的一片天。

除了台積電結合CoWoS、InFO、SoIC三大類型先進封裝技術的的「3D Fabric」平台,廣獲多家鑽石級高效運算(HPC)晶片、手機應用處理器(AP)國際龍頭大廠青睞。日月光半導體推出「VIPack」平台,可實現次世代3D異質整合架構,利用先進的重佈線層(RDL)製程、嵌入式整合以及2.5/3D封裝,協助客戶在單個封裝中整合多晶片,實現創新未來應用。

無獨有偶,日月光集團旗下矽品精密也持續深耕先進封裝技術,獲得多數客戶的讚賞與肯定。矽品從早期單晶片扇出型封裝(FO-SD),進展到多樣扇出型解決方案,目前全系列包含FO-PoP、FO-MCM、FO-EB、FO-SiP等,這些先進封裝技術可應用在旗艦級手機處理器、高速網路交換晶片、AI處理器、穿戴裝置等不同產品領域上。

台系業者先進封裝技術也包括如力成面板級封裝(PLP)等。OSAT廠的先進封裝技術可望提供較晶圓廠略有成本競爭力,但高性價比服務,近期業界也傳出,除了蘋果(Apple)A系列手機AP外,高通(Qualcomm)、聯發科等都在鴨子划水,導入Fan-out封裝,FO_PoP將是未來手機處理器重要封裝技術之一。

封測業者坦言,其實OSAT廠與晶圓廠在先進封裝部分僅會有部分競爭,但台系業者與東南亞或是中系業者,就會在傳統封裝、中高階覆晶封裝、先進封裝等多方交手,中長期來看,也將持續推動後摩爾時代進展,百花齊放的先進封測技術競合,值得期待。


[7][20220915]《矽島的危與機:半導體與地緣政治》書摘:浪尖上的神山 台積電全球化經營風險
現在台積電真正的課題是全球化的布局,這牽涉到國際關係,而這也是台積電進行全球化經營時必須審慎考量,甚至找出一條可長可久的戰略,再一次將競爭者遠遠拋在後頭。在全球化布局的過程中,很多國際關係的掌握、優先順位的考量就不是傳統決策流程可以完備的。

以2022年春爆發的烏俄大戰為例,大戰兩週後,烏克蘭副總理要求華碩撤離俄羅斯,並高舉「科技不該為戰爭服務」的大旗,這對尊崇西式民主,但過去並不參與國際事務的台灣人而言,其實是一個新的課題。

華碩宏碁在俄羅斯經營多年,動輒撤出俄羅斯不僅是當年度商業上的損失,而且也牽涉到股東權益、未來的商機,甚至是意識形態的選邊站等多元考量。華碩在兩天後做出聲明,包括暫停業務,以及捐助3,000萬元台幣給流離失所的烏克蘭難民。這個聲明或許不能讓所有的人滿意,但確實已經幫台灣人或台灣企業上了一課,未來面對類似的課題時,台灣人應該如何因應。在經營國際關係時所需要的政經知識,可能是所有的企業在全球面對美中G2格局時難以迴避的問題。

除了全球定位與布局之外,半導體這個行業的生態系、客戶關係也都在改變中。台積電三星競爭蘋果應用處理器商機的過程膾炙人口,以2021年蘋果電腦佔台積電營收26%估算,蘋果大約貢獻台積電148億美元的營收,如果再考量台積電的附加價值率為65%的話,來自蘋果的訂單總共可以創造出96億美元的附加價值,而這個數字大約等於台灣1年GDP總值的1.2%,如果也加上蘋果下單到台灣其他公司的貢獻,光是蘋果一家公司,對台灣GDP的貢獻值可能高達2%,也就是大約等於宜蘭46萬縣民對台灣GDP的貢獻值。

台積電統攬蘋果的訂單,並不只是這個訂單本身的價值,取得蘋果的應用處理器訂單,意味著台積電能以最佳的性價比取得全球最大、價值最高的訂單,這也讓其他科技業者在考量旗艦產品時,更會將台積電列為優先考量。在微處理器市場上仰攻英特爾的超微,善用台積電的生產優勢撼動英特爾的市場地位,在超微節節上升的市佔率中,台積電證明了自己的價值。從10奈米、7奈米、5奈米,以及在2022年下半開始量產的3奈米產品,台積電也讓輝達、高通、聯發科等業者更相信台積電的製程能力,是挑戰全球頂尖市場的重要助力。



除了全球頂級的IC設計業者趨之若鶩之外,包括Google、Amazon、微軟等網路巨擘在發展新世代晶片時,當然也會以不與客戶競爭的台積電為首選合作夥伴,這樣的情況也影響了中國的網路巨擘,甚至未來各國新興業者在布局晶片事業時最重要的指標公司。

現在英特爾執行長季辛格推出IDM 2.0的戰略,要讓英特爾重返榮耀,而張忠謀說季辛格只有5年的時間,但他能打敗飛逝的光陰,或者台積電已經站穩的地盤嗎?英特爾強調將在2024年追上競爭對手,甚且推出18A的技術,以所謂的埃米(1奈米等於10埃米)技術重新定義市場。

搭配技術進程,英特爾從10奈米、強化版的7奈米技術,一直到3奈米、2奈米都有非常明確的進度,甚至宣示要與日本合作2奈米的技術。但過去英特爾不斷延誤的技術進程,也證明了就算是世界頂級大廠,也不一定能按部就班地按照理想進度推出各種商品。對很多頂級的大客戶而言,在台積電之外尋找新的製程夥伴,意味著超額的投資與意料不到的風險,這在高強度競爭的市場區隔中,恐怕也不是客戶願意承擔的壓力。

面對未來,台積電不可能高枕無憂,除了不斷提醒社會,台積電需要更多的人才、乾淨的能源,在先進技術的布局上,台積電更積極徵聘量子技術與記憶體相關人才,目的是在面對創新型技術帶來破壞性的創新。

其次,如何防杜三星與英特爾突襲,例如買走歐系的車用半導體大廠,釜底抽薪地搶走台積電的客戶?這當然是可能的作為,但顯然在各國都將半導體產業視為策略性工業的政策下,這個難度會愈來愈高。在排碳地緣政治等非台積電所長的議題上深入布局,也許才是真正的策略考量。

至於歐美大廠,對台積電而言也有兩個不同的意義。在連網時代領先的蘋果、Google、Amazon、微軟、Meta這些企業都需要在先進製程上擁有長期、可以信賴的合作夥伴,而歐美的汽車大廠也希望在半導體供源上有更多的選擇或高端晶片的合作夥伴。對台積電而言,5至10年內應以美歐日系業者為主的策略夥伴,但長期而言,仍須研議與印度等新興國家的關係。

針對各種可能的威脅,台積電在水平分工與垂直整合上會進行哪些布局?從分工的角度,台積電可以補強日本在先進製程上的不足,而日本在記憶體、材料設備工業以及市場需求端,都是台積電長期可以合作的戰略夥伴。特別是台灣的企業以「無害」(Harmless)聞名,存在於DNA的是以客為尊的心態,對日本而言,在與中美韓企業對比時,台灣的企業仍是最佳的選擇。

整體而言,台積電超前部署的資本支出,在製程、良率上取得壓倒性的領先優勢,並在客戶服務上強調不與客戶競爭,這是三星、英特爾等挑戰台積電霸業的科技大廠很難自圓其說的軟肋。簡而言之,台積電內部的經營風險,遠高於外部的市場風險,只要台積電能降低人才水電、地緣政治的風險,台積電依然是全球最頂尖的晶圓製造廠。

[6][2022-08-31] 台積電技術論壇 魏哲家信心爆棚
台積電技術論壇 魏哲家信心爆棚 (digitimes.com.tw)

儘管台積電不斷強調製程技術保持領先、客戶訂單與良率沒問題,以及營運至2025年都將是逐年創高表現,但市場看衰雜音仍不斷,包括地緣政治風險、三星電子(Samsung Electronics)與英特爾(Intel)挑戰及過度擴產所帶來的產能閒置危機。

對此,台積電總裁魏哲家於自家年度技術論壇中信心爆棚,不僅強調半導體矽含量大幅增加,先進製程與成熟製程需求同步成長,現有擴產計畫不變且將持續擴大全球生產據點外,針對三星宣布搶先進入3奈米GAA世代,意有所指地說:「製程技術不只是講求好看的及好名聲,而是真的要實用。」

長達2年的疫情紅利已消退,終端消費性電子供不應求榮景終在2022年第2季落幕,全球半導體、電子產業愁雲慘霧,但台積電至今卻依舊未下修財測且擴產計畫持續進行,令市場覺得不可思議。

面對市場疑慮,魏哲家及台積電業務開發資深副總經理張曉強於自家論壇上也以暗喻解除大家疑惑。魏哲家表示,所有終端產品的的半導體矽含量大幅增加,不只是先進製程,成熟製程需求也同步成長。

如智慧型手機幾年前PMIC僅需要10幾顆,而現在必須運算大量數據,同時也要高續航力,因此PMIC需求較過去大增2~3倍,而過去RF IC可能只10多顆,現在需求高達40顆Sensors(CIS & MEMS)也是從10顆IC成長至25顆。而在智慧汽車領域,CIS也是從個位數到20顆MCU/eNVM、PMIC更分別由20顆分別最高已達50、100顆。

半導體產業半年來面臨寒風強襲,事實上,台積電展望依舊不變,先前就明確表示,儘管總經情勢不穩,不確定性將延續至2023年,但台積電有信心在技術領先下,2023年產能利用率仍將維持健康水平。

此外,供應鏈確實開始調整庫存,然受惠車用、資料中心需求穩健,HPC佔營收比重續升且與客戶合作關係緊密,加上在晶圓代工產業持續保持領先地位,目前產能仍是供不應求狀態。

值得一提的是,需求急速下滑,IDM與晶圓代工業者也紛傳出擴產規模縮減或擱置,但台積電不僅未大砍資本支出,台灣與海外擴產也按計畫進行,3奈米以下先進製程與先進封裝進度不變,魏哲家更直言,將持續擴大全球生產據點。

半導體設備業者表示,儘管半導體需求走弱,但台積電至年底8吋與12吋整體產能仍維持滿載熱度,5/7奈米雖頻有客戶砍單,但產能立即有其他大廠吃下,且下半年為最大客戶蘋果出貨旺季,產能利用率完全是超載盛況,台積電大啖半導體矽含量飆升新單,且客戶新單長約能見度相當清楚,因此對於擴產相當有信心,2024年起新產能將全面放量。

另針對三星宣布6月底搶先進入3奈米GAA世代,魏哲家亦意有所指地說:「製程技術不只是講求好看的及好名聲,而是真的要實用。」張曉強亦指出,製程節點數字意義不重要,關鍵是PPA,也就是效能(performance)、功耗(Power)與面積(Area),IC設計客戶是相當聰明的。

半導體設備業者表示,三星雖搶先發布3奈米GAA,但頂多只到台積電4奈米等級,且良率仍相當低,也無自家以外大單落袋,儘管將推出第二代版本,但目前用得起3奈米以下的晶片客戶都在台積電投片下單,轉單代價高昂,且也開始逐步採用台積電先進封裝技術,此也是台積電對於營運表現信心滿滿關鍵所在。

據了解,價格高昂的3奈米家族首發客戶為蘋果,2023年N3E將全面放量,主力客戶也是蘋果,而2023年底至2024年底將有高通(Qualcomm)、聯發科、NVIDIA、超微(AMD)、博通(Broadcom)與英特爾(Intel)等大客戶陸續放量。

[5][2022-07-18]HPC客戶紛看好3D先進封裝 台積電加碼研發手機版InFO_3D
HPC客戶紛看好3D先進封裝 台積電加碼研發手機版InFO_3D (digitimes.com.tw)

台積電雖然先進封裝SoIC技術先以HPC為主要推廣方向,不過手機晶片端的「InFO_3D」開發也未停歇,延續2018年以來的研究續行

2022年下半到2023年上半,半導體各界預期消費電子領域庫存調整難免,不過,高效運算(HPC)晶片的推進如火如荼地發展,也成為台積電、日月光集團等龍頭半導體大廠看好的穩健領域。

其中,除了2D先進製程微縮持續外,替摩爾定律延壽的先進封裝技術往3D小晶片(Chiplet)靠攏,如台積電的SoIC技術,將成為進入2奈米世代以後的關鍵。台積電總裁魏哲家日前指出,HPC客戶都對「3D封裝」中的SoIC技術有興趣,未來先進封裝成長幅度可能更優於台積電公司平均值。
點擊圖片放大觀看
台積電近5季技術平台別營收分析
台積電近5季技術平台別營收分析

而3D晶圓堆疊晶片,雖然目前暫時還沒有手機領域相關客戶有實際生意進駐,但熟悉先進封測業者透露,台積電其實2018年時發表的ECTC研究論文中,已經從「3D異質整合Fanout堆疊」出發,發展3D堆疊兩層系統單晶片(SoC),目前這個「InFO_3D」技術,也仍被納入台積電2022年技術論壇的3D封裝類別中。

「InFO_3D」SoIC搭配CoWoSSoIC搭配InFO_oS並列三大3D封裝技術,而據了解,InFO_3D研發的主要方向,正是追求輕薄短小的行動裝置晶片

先進封測業者表示,台積電晶圓級3D Fabric平台、日月光集團的VIPack平台等,將持續與三星電子(Samsung Electronics)、英特爾(Intel)等分庭抗禮。

而台積電攜手在半導體材料領域仍擁有強大影響力的日本業者「強強聯手」,日本3D IC材料研發中心的布局,成為後續推展HPC、甚至行動裝置晶片邁入「3D世界觀」的重要方向,初步日本3D研發中心先以材料切入,包括高階載板、散熱材料等,都是3D晶圓堆疊晶片,甚至2D層面多晶片整合之所必需。

相關晶圓級封測業者坦言,SoIC絕對是在HPC領域的重要趨勢,高Density的優勢再結合台積電成熟的CoWoS、InFO_oS等,也持續需要高階載板與先進材料等領域奧援,需要攜手基礎科學能力紮實的日本業者。

台積電成功奪下多年蘋果iPhone處理器大單的InFO_PoP,是目前行動裝置採用晶圓級扇出封裝最有量產戰果的明星級技術,因不須採用載板,更容易使手機應用處理器(AP)達到輕薄短小的體積,又能夠兼顧高效能。

而隨著技術進展,真正以3D封裝方式堆疊2顆SoC的技術也並非不可能的任務,只是隨著行動裝置日益精密,任何的系統級改動都是「牽一髮而動全身」,也因此,先進封測業者預期,在高階手機AP部分有明顯封裝技術更動的時間點還有待觀察。

可以預見的是,隨著半導體製程持續微縮,「散熱問題」只會越來越有待解決,這不管是2D或是3D IC,都是必須克服的挑戰,也因此,日本3D IC研發中心從材料端起步,後續還有很多開發工作,都是中長期的布局方向。

台積電先進封裝技術的研發工作一直持續進行,如目前先行鎖定HPC的SoIC技術,晶圓堆疊高度也不能夠高過既有的SoC太多,行動裝置領域更對於體積有所要求,也因此,不管是HPC、行動裝置的3D晶片,來自於「系統級」的設計挑戰也越來越高。

畢竟就像是在一間漂亮的房間中,若更動其中一項主要家具,有許多週邊裝置都得做出調整,儘管如是,隨著摩爾定律逐步邁向物理極限,目前不少國際晶片客戶對於SoIC等3D封裝技術的接受度已經提升,竹南先進封測新廠持續推展,SoIC將是HPC重要趨勢,3D封裝走入行動裝置晶片領域,也絕非全然不可能。

台積電2022年技術論壇中揭示的3D Fabric技術平台包括,歸類於「2.5D」封裝的CoWoS-S、CoWoS-R、CoWoS-L衍生型技術;整合型晶圓級扇出(InFO)封裝的InFO_PoP、InFO_oS、InFO_B等。

3D封裝類別中,包括SoIC搭配CoWoS、SoIC搭配InFO_oS,以及「InFO_3D」。對於特定廠商、客戶等狀況,台積電發言體系向來不評論單一業者。

註:台積電於ECTC 2018發表論文:<3D Heterogeneous Integration with Multiple Stacking Fan-Out Package>

[1][2022-6-9]台積電定調今年展望樂觀 劉德音談大國結盟、消除看衰傳言
台積電於股東會上定調2022年與未來營運展望。劉德音表示,台積電營收連續12年寫下新高,2021年營收以美元計算,較2020年大增24.9%,展望2022年,營收最高將再增3成

台積電正與客戶密切合作規劃產能,啟動美國、日本與中國擴產計畫,並加速投資先進製程和特殊製程技術。

先進製程進展

製程技術方面,N5技術已邁入量產的第二年,在手機和HPC應用的推動下,需求持續強勁,2021年佔整體晶圓銷售金額的19%;3奈米技術按既定計畫於2022年下半開始量產,N3增強型(N3E)為N3技術的強化版,預計在N3量產後1年進行,3奈米家族將成為台積電另一具長期大量需求的製程技術。

N4P和N4X製程技術支援下一波的5奈米產品。相較於N5,N4P的效能提升11%,N4X為首個極高效能半導體技術X系列的技術,相較於 N5,N4X的效能提升15%。

N4P預計下半年完成首批產品設計定案,N4X預計在2023年上半年進入試產;2奈米技術已經進入技術開發階段,著重於測試載具的設計與實作、光罩製作及矽試產。另為了提升系統級效能,也推出3DFabric設計解決方案,包括支援三維晶片堆疊的系統整合晶片(SoIC)、以及支援2.5D先進封裝的整合型扇出(InFO)與CoWoS技術

台積電2022年首季延續2021年優異表現,以美元計算,預估全年營收最高可繳出再增3成新高成績。

總裁魏哲家:價格策略好好溝通

對於美國晶片法案目前仍卡在國會,補助可能生變?劉德音則說,目前晶片法案仍在美國國會討論中,不會擔心只補助美國企業,包含美國半導體協會在內,也不認為美國半導體復興要完全靠美國本土半導體業者,所以包含國會、業界等都認知到必須要開放全球半導體業者在美國的競爭。

針對外界認為美國與日本新廠建置似乎出現延宕且成本飆升,劉德音則表示,很多報導傳言都是錯的,確實成本比預期高,但不是不能應付,目前美日建廠進度與徵才都符合預期目標,台積電海外建廠都是與基於客戶的長期信任與需求而興建,目前仍維持長期毛利率53%目標

晶圓代工產業無法靠迅速模仿追上

對於美日展望2奈米合作,劉德音則指出,台積電也在發展2奈米,所以不會特別擔心,台積電目前2奈米進度符合預期,美日談合作應只是希望有個back up而已。

劉德音也重申,半導體晶圓代工產業是競爭對手無法迅速模仿就可以追上超越的領域,其他公司、國家不管花多少錢、多少人是沒用的,因為等你學到技術,台積電也不會是原地踏步,也早已大躍進,這是多年累積的深厚自主研發成果,當然台積電也不會掉以輕心。

另隨著先進製程推進,用電問題也受到關注,劉德音則指出,不擔心電力供應問題,目前與經濟部、台電早已展開超過10年的長期合作計畫,政府現在也在解決電網韌性不足問題,而台積電也採行增加備用電力,每個廠區都有柴油備用電力,再加上天然氣以應付未來可能電力不足危機。

產業如何看待台積電的信心?

對於台積電樂觀看待2022年及長期展望,半導體業者則表示,面對諸多危機,台積電為何信心滿滿?主要除了製程技術保持領先,對手數年內難以追上外,另則是除三星電子(Samsung Electronics)外,所有需要7奈米以下先進製程的晶片大廠,幾乎只下單台積電,獨門生意不受景氣影響與政經干擾,不只是通吃Apple大單,超微(AMD)、NVIDIA更是7奈米以下只擁抱台積電,先前更出乎市場預期的是,對手Intel也來爭搶5/3奈米產能。

另在高速成長的車用市場,台積電與恩智浦(NXP)、英飛凌(Infineon)、德儀(TI)與瑞薩(Renesas)等合作緊密。而近期所傳出Qualcomm、Tesla及Google在三星5/4奈米投片合作的消息,其實高通主要是持續秉持分散風險、低價與訂單互惠策略,但由於三星良率風險甚高,因此也已大幅拉高台積電比重。

[20220504]英特爾Meteor Lake藍圖傳修正 台積電5奈米家族有機會通包?
英特爾Meteor Lake藍圖傳修正 台積電5奈米家族有機會通包? (digitimes.com.tw)

台積電5奈米家族製程年底產能將再往上調,主要是英特爾委外釋單可能有所調整。

台積電3奈米(N3)終確定在2022年下半正式量產,將由改款版先上陣,2023年第2季再推出N3E版本(製成標準放寬,使良率提高,否則很難達成N3,可說是N3放鬆版,和這裡說的不同,須確定)。值得注意的是,先前供應鏈傳出N3首波產能由Intel、Apple分食,然近期供應鏈盛傳英特爾生產規劃有所調整。

由於Intel 4製程需要更多時間準備,因此原本預計2022年底量產、2023年上半發布的第14代Meteor Lake英特爾正評估採用Intel 4製程的運算晶片塊,先交由台積電5奈米家族負責。



此舉連帶也調整委外給台積電繪圖晶片塊訂單,由3奈米改為5奈米家族,也就是英特爾Meteor Lake採用先進封裝整合的運算晶片塊、繪圖晶片塊與連接晶片塊,有機會由台積電全數承接訂單。

目前全球晶圓代工7奈米以下戰場幾已成為台積電「一個人的武林」,對台積電而言,2022年首季合計佔營收比重已達5成的7/5奈米製程,學習曲線已走完,隨著客戶、訂單不斷湧入,毛利率、獲利貢獻也不斷提升,此外,據台積電規劃,3奈米將在2022年下半量產,2奈米GAA則於2025年上陣。

在產能部分,2022年下半改款版N3月產能不斷修正,最新預估約3.5萬片上下,低於預期4.5萬片,原定2大客戶為英特爾蘋果,其中,蘋果用於M2系列處理器,而英特爾則是Meteor Lake繪圖晶片塊導入。緊接著2023年第2季N3E將提前一季面市,除蘋果iPhone 15新機A17處理器導入外,還有英特爾大單。

另在包括4奈米在內的5奈米家族方面,據了解,台積電已調升單月出貨量,上半年單月約12萬片,至第3季時將達15萬片,為1年前的3倍之多,除了聯發科、高通(Qualcomm)超微(AMD)與NVIDIA、博通(Broadcom)等多家大廠之外,蘋果預定2022年9月發布的iPhone 14系列所採用的A16處理器,將採用4奈米N4P製程。

而值得注意的是,近期傳出台積電5奈米家族製程年底產能將再往上調,主要是英特爾委外釋單可能有所調整,台積電已提出解決方案供英特爾參考。

英特爾用於PC客戶端運算的Meteor Lake,預計2022年底量產、2023年上半面市,其將運算晶片塊、繪圖晶片塊與連接晶片塊等,透過英特爾Foveros先進封裝技術連接整合。其中,運算晶片塊採用Intel 4製程,繪圖晶片塊採用台積電3奈米,連接晶片塊採用台積電5奈米家族

沒有留言:

電子與通訊

這裡就是我的新的Blog 將以電子與通訊 做為本人研究探討之地!!
希望能夠更加了解神奇的宇宙 歡迎各位光臨 ^^"